Companies

SynaptiCAD

  • 605 Alleghany St Blacksburg VA
    24060
    United States of America
  • 540-953-3390
  • http://www.syncad.com
  • 540-953-3078

SynaptiCAD Articles

Displaying 1 - 19 of 19
Design
27th June 2013
WaveFormer Pro Supports Agilent & Tektronix Equipment And Hyperlynx

SynaptiCAD has released an updated version of WaveFormer Pro, a tool for analyzing timing diagrams and translating digital and analog waveforms between simulators and test equipment. The new version supports importing and exporting digital and analog waveforms to the latest Agilent and Tektronix logic analyzers and mixed-signal oscilloscopes. WaveFormer also supports importing timing information from the Mentor Graphic's Hyperlynx board-level tim...

Design
18th March 2013
SynaptiCAD presents IO Checker 2.2, the FPGA and PCB IO verification tool

SynaptiCAD now has new versions of HDL Work's IO Checker that will verify that signal names used in the FPGA are connected to the appropriate signals on the PCB. Additionally it verifies the voltage values connected to the FPGA power and reference pins.

Design
6th December 2012
SynaptiCAD's Timing Diagram Editors Simplify FPGA Synthesis

SynaptiCAD has released an updated version of it's industry-leading timing diagram editor family that simplifies creating the Synopsys Design Constraint files used to define the timing requirements for FPGA synthesis tools. New versions of Timing Diagrammer Pro, WaveFormer Pro, and DataSheet Pro enable designers to automatically generate SDC commands from timing diagram data extracted from IC data sheets.

Design
23rd April 2012
VHDL/Verilog Converters upgraded for Verilog 2005

SynaptiCAD has upgraded the V2V tools that translate bidirectionally between Verilog and VHDL source code. These translators are primarily aimed at converting behavioral and/or RTL-level code and are most often used when a designer has received IP in another language than his preferred design language.

Design
23rd March 2012
VeriLogger Supports Symbolic Libraries and Runtime Optimizations

SynaptiCAD has recently released an updated version of their Verilog simulator, VeriLogger Extreme. The new version supports compiling source files to symbolic libraries, enabling faster compiles of designs that share a common set of source files such as ASIC/FPGA libraries.

Test & Measurement
4th October 2011
SynaptiCAD Speeds Up Free Waveform Viewer

SynaptiCAD has released an updated version of WaveViewer, a free viewer for digital and analog waveforms. Waveform formats supported by WaveViewer include VCD/EVCD, SPICE CSDF/TRN, Synopsys TimeMill, Agilent and Tektronix logic analyzer data and mixed-signal oscilloscope data, SynaptiCAD's compressed binary format (BTIM), and many other common formats produced by EDA tools. The viewer also supports color syntax highlighted editing for VHDL, Veril...

Design
21st July 2011
SynaptiCAD's BugHunter Supports C++ and SystemC

SynaptiCAD's has updated BugHunter, it's graphical test bench generator and HDL debugger, to add support for SystemC simulations. Standalone SystemC and mixed SystemC/Verilog/VHDL simulations can be compiled and debugged under the BugHunter GUI. The new environment simplifies compiling regular C++ applications in conjunction with an HDL simulation as well (for example, to compile a PLI-based application loaded by a Verilog simulator). The new ver...

Test & Measurement
21st March 2011
SynaptiCAD's TestBencher Simplifies Random Transaction Generation

SynaptiCAD has released a new version of TestBencher Pro, a VHDL and Verilog system-level testbench generation software that dramatically simplifies the process of creating and applying random bus transactions to RTL and gate-level models. The new version also simplfies creation of testbenches that reside in a different compiled library from the design being tested. TestBencher includes an updated version of SynaptiCAD's graphical HDL debugger, B...

Design
12th November 2010
SynaptiCAD's BugHunter Supports 64-bit ModelSim & Incisive Simulators

SynaptiCAD has released an updated version of it's VHDL and Verilog testbench generation and debugging tool, BugHunter Pro, with support for 64-bit versions of Mentor Graphics ModelSim and Cadence Incisive simulators. Other new features include faster waveform capture (so simulations run 8x faster while being debugged), new methods for fast searching through the design hierarchy tree, support for capturing waveform data from VHDL types such as e...

Analysis
17th September 2010
SynaptiCAD’s GOF fixes Logic Equivalence Check Failures - Whitepaper

SynaptiCAD’s Verilog netlist editor, Gates-on-the-Fly (GOF), has recently been updated to support easy correction of logic equivalence failures introduced during modifications to post-synthesis netlists, using equivalence check reports from either Cadence’s Conformal LEC or Synopsys’s Formality. SynaptiCAD has also published a white paper that describes how the updated GOF was used to find and fix failures identified by Cadence’s Conforma...

Design
17th August 2010
WaveFormer Lite Generates Mixed Signal HDL Test Benches for all FPGA design flows

WaveFormer Lite Generates Mixed Signal HDL Test Benches for all FPGA design flows SynaptiCAD has just released a major upgrade to WaveFormer Lite, it's entry level tool for generating VHDL and Verilog test benches graphically from timing diagrams drawn by the user. Previously only available as part of the Actel Libero package, WaveFormer Lite can now be purchased directly from SynaptiCAD. WaveFormer Lite generates native VHDL and Verilog testbenc...

Design
30th June 2010
VeriLogger supports encrypted models from Actel, Altera, and Xilinx

SynaptiCAD has released an updated version of VeriLogger Extreme, a compiled-code Verilog simulation and debugging environment, that adds support for encrypted IP models from all the major ASIC/FPGA vendors. VeriLogger supports both binary-encrypted SmartModels based on the common SWIFT-based standard and the more recent encrypted source-code format (sometimes referred to as protected envelopes) added as part of the Verilog-2005 standard.

Design
24th May 2010
Timing Diagram Editors offer Editable Analog Equations

SynaptiCAD has released version 15 of its family of Timing Diagram Editors and test bench generators. The major enhancement in the new version is the ability to create blocks of editable analog waveforms using simple Python-based equations.

Design
15th January 2010
SynaptiCAD’s 64-Bit Verilog Simulator is 30% Faster

SynaptiCAD has just released the first 64bit Linux version of VeriLogger Extreme, a Verilog simulation and debug environment. The 64bit simulator runs 30% faster than the 32bit version and can simulate much larger designs (64bit Linux applications can use a system's full memory, unlike 32bit applications which are limited to 3GB of memory).

Design
13th November 2009
SynaptiCAD offers a Free High Performance Verilog 2001 simulator

For a limited time, SynaptiCAD will be giving away free “no strings attached” 6 month licenses for VeriLogger Extreme, a high-performance compiled-code Verilog 2001 simulator that significantly reduces simulation debug time. Free licenses will be available for both Linux and Windows versions of the simulator.

Design
30th October 2009
Gates-on-the-Fly Netlist Editor adds Waveform Viewer Interoperability

SynaptiCAD’s Gates-on-the-Fly (GOF), a Verilog netlist editor and incremental schematic viewer, has added schematic back annotation and waveform viewer cross-probing. Using one of SynaptiCAD's waveform viewers, you can view waveforms from a simulation (e.g. a VCD file) or a logic analyzer and show specific logic states annotated on GOF schematic windows. The schematic and the waveform displays are linked so that you can quickly control the simu...

Design
25th September 2009
Gates-on-the-Fly Netlist Editor and Schematic Viewer

SynaptiCAD announces the release of Gates-on-the-Fly (GOF), a Verilog netlist editor and incremental schematic viewer. GOF can edit very large netlists from a synthesis or layout tool that need changes to either meet timing closure specifications, fix functional logic bugs, or to repartition a design.

Design
25th September 2009
SynaptiCAD tools import Xilinx timing information

SynaptiCAD is proud to announce version 14 of their timing diagram editors: WaveFormer Pro, Timing Diagrammer Pro, and Data Sheet Pro. Dozens of new features have been added including support for Xilinx post-route timing files, sampled analog signals, and memory optimizations to handle waveform files with over 1 million signals. SynaptiCAD has also made improvements to its free waveform viewer.

Design
13th November 2008
SynaptiCAD offers HDL Works Tools

SynaptiCAD is now the US and Canadian distributor for HDL Works EDA tools: Ease, HDL Companion, and IO Checker. These tools provide alternative graphical approaches for VHDL and Verilog code design which also complement SynaptiCAD's timing diagram editors and graphical simulation tools. EASE provides a state machine bubble editor, truth table designer, and block diagram design environment for generating VHDL and Verilog code. HDL Companion scans ...

First Previous Page 1 of 1 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier