Companies

IMEC

IMEC Articles

Displaying 241 - 260 of 393
Analysis
6th November 2013
World’s first III-V FinFET devices monolithically integrated on 300mm Silicon Wafers

A successful demonstration of III-V compound semiconductor FinFET devices integrated epitaxially on 300mm silicon wafers, has been completed by Imec. Done through a unique silicon fin replacement process, Imec's demonstration signals progress toward 300mm and future 450mm high-volume wafer manufacturing of advanced heterogeneous CMOS devices, monolithically integrating high-density compound semiconductors on silicon. The breakthrough not only en...

Optoelectronics
17th October 2013
Laser thermal anneal boosts 3D memory performance

imec and Excico have successfully demonstrated the application of laser thermal anneal in vertical polysilicon channel devices for 3D memory, resulting in an increased current. Compared to conventional polysilicon channel, the larger grain size of the laser recrystallized polycrystalline channel material offers up to 10 times higher read current and 2.5 times steeper sub-threshold slope. Providing a way to higher stacking, this enables highe...

Design
9th October 2013
TERASEL, A New European Effort To Develop Industrial Production Chain For Manufacturing Randomly Shaped Electronic Circuits

Imec and its project partners today announced the launch of TERASEL (Thermo-plastically deformable circuits for embedded randomly shaped electronics), a project under the European Union’s Seventh Framework Programme for Information and Communication Technologies (FP7). The overall goal of the project is the development, industrial implementation and application of large-area, cost-effective, randomly shaped electronics and sensor circuit te...

Analysis
9th October 2013
ASML and imec launch Advanced Patterning Center

Today, ASML and imec announced the next major step in their extensive collaboration, with the launch of the Advanced Patterning Center. Together they plan to tackle upcoming scaling challenges due to the chip industry’s move towards single digit nanometer dimensions. The Center will be located at the imec campus in Leuven and is expected to grow to close to 100 engineers over the next couple of years.

Optoelectronics
8th October 2013
Imec Demonstrates 25Gb/S Silicon Photonics Devices On Single Platform

Imec today announced the release of its fully integrated silicon photonics platform (iSiPP25G) for high-performance optical transceivers (25Gb/s and beyond). Imec’s portfolio includes low loss (2.5dB/cm) strip waveguides, highly efficient grating couplers (2.5dB insertion loss), high-speed (50GHz) Germanium waveguide photodiodes, 25Gb/s Mach-Zhender and Micro-ring modulators.

Renewables
3rd October 2013
Imec And Meco Present High Efficiency And Cost-Effective Copper Technology For I-PERC-Type Silicon Solar Cells

At this week’s European Photovoltaic Solar Energy Conference and Exhibition imec and Meco present large area (156x156mm2) i-PERC-type silicon solar cells with industry-applicable Nickel/Copper (Ni/Cu) plating for the front contacts. Together, the companies achieved an excellent average efficiency of 20.5% on more than 100 cells, and a maximum efficiency of 20.7% (confirmed by ISE callab).

Renewables
1st October 2013
Industrial PERC Si solar cells achieve 20.1% efficiency

At next week’s European Photovoltaic Solar Energy Conference and Exhibition in Paris, imec, RENA and SoLayTec present thin (160µm), large area (156x156mm2) industrial PERC-type silicon solar cells achieving a best cell efficiency of 20.1% using atomic layer deposition Al2O3 passivation and standard screen printed contacts.

Analysis
2nd September 2013
Entegris and Imec Collaborate on 3D Wafer Handling and Shipping Challenges

Entegris and imec announced they are collaborating to advance the development and broaden the adoption of 3D integrated circuits. 3D IC technology, a process by which multiple semiconductor dies are stacked into a single device, is aimed at increasing the functionality and performance of next-generation integrated circuits while reducing footprint and power consumption. It is a key technology to enable the next generation of portable electronics ...

Analysis
25th July 2013
EU FP7 continues to support Europractice IC services

Imec, together with its partners STFC and Fraunhofer IIS, have today announced that the European Commission has pledged to continue funding the Europractice IC services for another 3 years under the FP7 program. Europractice IC services also supports companies in the assembly and testing phase. Over the next three years, the service will expand its offering from ASIC services to prototyping possibilities in MEMS and photonics-related technologies...

Analysis
9th July 2013
imec and Dow Corning Advance Enabling Technologies for 3D IC Semiconductor Packaging

Dow Corning is the latest organization to join imec, a leading research centre for the advancement of nano-electronics. The announcement signals expanded opportunities for both organizations to combine their expertise toward the development and broader adoption of 3D integrated circuit packaging technologies, wherein IC chips are stacked in vertical 3D architectures.

Renewables
4th July 2013
New Thin-Film CZTSe Solar Cells Achieve 9.7% Efficiency

imec and Solliance will present a CZTSe (Cu2ZnSnSe4)-based solar cell with 9.7 percent efficiency (1x1cm2, AM1.5G) at next week’s Intersolar conference in San Francisco. This result is very promising and will be an important step in bringing the solar industry closer to a sustainable alternative for the highest efficiency thin-film solar cells in production, based on CIGS (Cu(In,Ga)(S,Se)2).

Optoelectronics
18th June 2013
Imec and Holst Centre Unveil Fully-Organic Imager

At the International Image Sensor Workshop, imec and Holst Centre presented a large-area fully-organic photodetector array fabricated on a flexible substrate. The imager is sensitive in the wavelength range suitable for x-ray imaging applications.

Sensors
18th June 2013
Imec presents 4K2K CMOS image sensor together with Panasonic

Imec presents a CMOS image sensor capable of capturing 12-bit 4,000x2,000pixel progressive images at 60 frames per second. Based on a stagger-laced dual exposure, the image sensor developed with Panasonic, was processed using imec’s 130nm CMOS process on 200mm silicon wafers to deliver high-speed and high-quality imaging, at reduced output bit rate.

Frequency
14th June 2013
Imec and Renesas pioneer high-performance RF solutions in 28nm CMOS technology

At this week’s VLSI circuits Symposium , imec and Renesas Electronics unveiled the world’s first multi-standard radio frequency receiver in 28nm CMOS technology, and a 28nm analog-to-digital converter targeting wide-bandwidth standards such as LTE-advanced and next-generation WiFi.

Analysis
13th June 2013
Imec showcases innovation in RRAM R&D at VLSI Technology Symposium

At this week’s VLSI 2013 Technology Symposium 2013, imec presented important findings increasing the understanding into the stochastic nature of Resistive Random Access Memory (RRAM) operation. Imec’s results are crucial steps forward to enable reliable implementation of the memory concept.

Analysis
13th June 2013
Imec presented the first strained Germanium devices at VLSI 2013 symposium

At the VLSI 2013 symposium, imec presented the first strained Germanium devices based on a Si-replacement process, where a Ge/SiGe quantum-well heterostructure is grown by epitaxially replacing a conventional Si-based shallow trench isolation. The technique allows for highly-versatile means of heterogeneous material integration with Si, ultimately leading the way to future heterogeneous FinFET/nanowire devices.

Memory
21st May 2013
Imec and GLOBALFOUNDRIES collaborate to advance high-density memory technology

Imec and GLOBALFOUNDRIES announced today that they have expanded joint development efforts to advance STT-MRAM (spin-transfer torque magnetoresistive random access memory) technology. The first IC manufacturer to join imec’s R&D program on emerging memory technologies, GLOBALFOUNDRIES completes the value chain of imec’s research platform, which fuels industry collaboration from technology up to the system level.

Analysis
16th May 2013
Imec and Renesas collaborate on ultra-low power short range radios

Imec and Renesas Electronics announced today that they have entered into a new strategic research collaboration at Holst Centre. Together, the companies will collaborate to enhance ultra-low power wireless technologies for short range communication, targeting sensor networks for automotive and industrial purposes.

Analysis
29th April 2013
Imec Reports 6.7 % Growth For 2012 Fiscal Year End

Imec have today reported financial results for fiscal year ended December 31, 2012. Revenue for 2012 totalled €320 million, a 6.7 percent increase from the previous year. The 320 million Euro figure includes the revenue generated through collaborations with more than 600 companies and 200 universities worldwide, a yearly grant from the Flemish government totalling 48.2 million Euro, and a 8.2 million Euro grant from the Dutch government to supp...

Wireless
11th April 2013
The World’s First Single-Chip Indoor GPS Solution From imec And BlinkSight

BlinkSight has released the first single-chip ‘indoor GPS’ solution for real-time location systems and wireless sensor network applications. Based on ultra-low power impulse radio technology by imec and Holst Centre, the new chip delivers real-time information to track and trace people and objects in indoor environments. Its unique combination of high accuracy, long range and low power consumption is ideal for both business and consumer appli...

First Previous Page 13 of 20 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier