Companies

IMEC

IMEC Articles

Displaying 1 - 20 of 392
Industries
13th March 2024
Spain, Andalusia, and imec to set up 300mm chip R&D line

The Spanish government, together with the regional government of Andalusia and imec, a global research and innovation hub in nanoelectronics and digital technology, announced that they have signed a Memorandum of Understanding (MoU) outlining their intent to establish a specialised chip technology pilot line in Malaga (Andalusia).

Awards
7th March 2024
AMD’s Lisa Su honoured with the 2024 imec Innovation Award

Imec is delighted to announce Lisa Su, Chair and CEO of AMD, as the recipient of the 2024 imec Innovation Award.

Power
22nd February 2024
Imec debuts high-speed ADC, leads in efficiency

At this week’s IEEE International Solid-State Circuits Conference (IEEE ISSCC2024), imec, a global research and innovation hub in nanoelectronics and digital technologies, introduces a breakthrough architecture that lays the foundation for a whole new generation of analog-to-digital converters (ADCs)

Events News
20th February 2024
Ime’s resilient, low-power UWB receiver chip

At this week’s IEEE International Solid-State Circuits Conference (IEEE ISSCC2024), imec, a global research and innovation hub in nanoelectronics and digital technologies, presents a low-power ultra-wideband (UWB) receiver chip that is ten times more resilient against interference from Wi-Fi and (beyond) 5G signals than existing, state-of-the-art UWB devices.

Power
19th February 2024
Imec introduces compact wireless powering technology

Imec has introduced an ultrasound-based proof-of-concept for wireless powering of implantable devices, at this week’s International Solid-State Circuits Conference (IEEE ISSCC 2024). 

Memory
13th December 2023
imec achieves breakthrough in SOT-MRAM technology

This week, at the 2023 International Electron Devices Meeting (IEEE IEDM 2023), imec, a globally pioneering research and innovation hub in nanoelectronics and digital technologies, presents extremely scaled spin-orbit transfer magnetic random-access memory (SOT-MRAM) devices showing the best published performance ever.

Latest
11th December 2023
Imec presents a new way to render colours with sub-micron pixel sizes

This week at the 2023 International Electron Devices Meeting (IEEE IEDM 2023), imec, a global research and innovation hub in nanoelectronics and digital technologies, demonstrates a new method for faithfully splitting colours with sub-micron resolution using standard back-end-of-line processing on 300mm wafers.

News & Analysis
4th April 2023
Newly founded chip manufacturer joins imec’s Core Partner Programme

Imec, a global research and innovation hub in nanoelectronics and digital technologies, and Rapidus, Japan’s newly founded chip manufacturer, have announced that they have taken an important next step in setting up a long-term and sustainable collaboration in the domain of advanced semiconductor research, with Rapidus joining imec’s Core Partner Programme.

Eco Innovation
1st March 2023
Imec’s virtual fab underpins strategies to reduce carbon footprint

At the 2023 Advanced Lithography + Patterning Conference, imec presents a quantitative assessment of the environmental impact of patterning in advanced IC manufacturing.

Power
31st January 2023
Imec's co-integration of its SiN waveguide technology with its active silicon photonics platform

At an invited talk at SPIE Photonics West, San Francisco, imec announced that it demonstrated co-integration of its silicon nitride waveguide technology with its silicon photonics platform—without performance degradation of the high-bandwidth active devices. An important upgrade for their silicon photonics platform, the result enables the synthesis of high-quality wavelength-selective devices and other optical passive functions that benefit...

Medical
27th January 2023
Imec hyperspectral camera pave way for in-vivo detection of low-grade gliomas

At this week’s BiOS, the biomedical optics and biophotonics exhibition at SPIE Photonics West, imec – a global research and innovation hub in nanoelectronics and digital technologies – presents a promising path to the in-vivo detection of low-grade gliomas (a group of slow-growing brain tumours).

Sensors
26th January 2023
imec demonstrates combined VIS & NIR spectral camera system

At this week’s SPIE Photonics West, imec, a global research and innovation hub in nanoelectronics and digital technologies,  showcases their multi-sensor hyperspectral camera system that covers both the visual and red/near infrared spectral ranges, while coming with a high-resolution RGB sensor.

IoT
25th January 2023
Animal behaviour monitoring tool for research, conservation, and agriculture purposes

The University of Antwerp (UAntwerp), a dynamic, forward-thinking university aiming to contribute positively to society, and imec, a global research and innovation hub in nanoelectronics and digital technologies announce their new spin-off called IoSA (Internet of Small Animals).

5G/6G
6th December 2022
Imec simulation framework predicts thermal transport in RF devices for 5G and 6G

This week, at the 2022 International Electron Devices Meeting (IEEE IEDM 2022), imec, a global research and innovation hub in nanoelectronics and digital technologies, presents a Monte Carlo Boltzmann modelling framework that uses microscopic heat carrier distributions to predict 3D thermal transport in advanced RF devices intended for 5G and 6G wireless communication for the first time.

Power
5th December 2022
Imec improves ferroelectric response & endurance of HZO-based ferroelectric capacitors

At the 2022 International Electron Devices Meeting (IEEE IEDM 2022), imec demonstrates a lanthanum-doped hafnium-zirconate (La:HZO)-based ferroelectric capacitor with high endurance (1011 cycles), high final remnant polarization (2PR = 30µC/cm2 at 1.8MV/cm) and reduced wake-up.

Mixed Signal/Analog
15th June 2022
Direct-digitisation readout enables small, low-noise, low-power neural interfaces

At the 2022 IEEE VLSI Symposium on Technology and Circuits, imec, a research and innovation centre in nanoelectronics and digital technologies, presents a scalable neural readout microchip featuring one of the world’s smallest recording channels for the simultaneous acquisition of local field potentials and action potentials in neurophysiology experiments.

Renewables
17th May 2022
Targeting net-zero emissions for chip manufacturing

At Future Summits 2022, imec announces that its Sustainable Semiconductor Technologies and Systems (SSTS) research programme succeeded in bringing together stakeholders of the semiconductor value chain, from large system companies such as Apple and Microsoft, to suppliers, including ASM, Kurita, SCREEN and Tokyo Electron.

Awards
28th April 2022
Bill Gates honoured with Lifetime of Innovation Award

imec, a research and innovation centre in nanoelectronics and digital technologies, announces Bill Gates, Co-Founder of Microsoft, Co-Chair of the Bill & Melinda Gates Foundation, and Founder of Breakthrough Energy, as the recipient of the Lifetime of Innovation Award 2022 for his impact on the computer software revolution.

Quantum Tech
23rd August 2021
Xanadu and imec to develop photonic chips for quantum computing

Xanadu and imec have announced a partnership to develop the next-gen of photonic qubits based on ultra-low loss silicon nitride (SiN) waveguides, the partnership is to fabricate low loss and uniform silicon nitride circuits for error corrected qubits. 

Medical
30th June 2021
Ultrasound sensor for determining arterial stiffness

Imec has presented a proof-of-concept for determining arterial stiffness, a risk marker for cardiovascular diseases such as stroke and heart failure, and monitoring blood pressure. Imec’s approach uses an ultrasound sensor to measure the central pulse wave velocity.

First Previous Page 1 of 20 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier