Companies

IMEC

IMEC Articles

Displaying 1 - 20 of 399
Quantum Tech
25th July 2024
Imec achieves record-low charge noise for Si MOS quantum dots

Imec, a global research and innovation hub in nanoelectronics and digital technologies, has announced the demonstration of high quality 300mm-Si-based quantum dot spin qubit processing with devices resulting in a statistically relevant, average charge noise of 0.6µeV/ÖHz at 1Hz.

Frequency
19th June 2024
Best-in-class ADCs for base stations and smartphones

At this week's IEEE Symposium on VLSI Technology & Circuits, imec introduced two advanced ADCs for base stations and handsets.

Events News
18th June 2024
Imec demonstrates functional monolithic CFET devices

This week, at the 2024 IEEE Symposium on VLSI Technology & Circuits (2024 VLSI), imec presents for the first time electrically functional CMOS CFET devices with stacked bottom and top source/drain contacts.

Frequency
17th June 2024
Imec unveils CMOS-based beamforming transmitter

At this week’s IEEE RFIC Symposium, imec, a global pioneering research and innovation hub in nanoelectronics and digital technologies, presents a state-of-the-art CMOS-based beamforming transmitter for D-band wireless applications.

News & Analysis
5th June 2024
ASML and imec open joint High NA EUV Lithography Lab

Imec, a global research and innovation hub in nanoelectronics and digital technologies, and ASML, a pioneering lithography supplier to the semiconductor industry, have announced the opening of the High NA EUV Lithography Lab in Veldhoven, the Netherlands, a lab jointly run by ASML and imec.

Design
29th May 2024
Imec demonstrates new die-to-wafer hybrid bonding

At IEEE ECTC 2024, imec presented a Cu-to-Cu and SiCN-to-SiCN die-to-wafer bonding process resulting in a Cu bond pad pitch of only 2µm at <350nm die-to-wafer overlay error, achieving good electrical yield. Such fine-grained die-to-wafer interconnects pave the way to logic/memory-on-logic and memory-on-memory applications. On the longer term, die-to-wafer bonding will enable also die- and wafer-level optical interconnects – for whi...

Passives
25th March 2024
Imec demos 32-channel silicon-based wavelength filter

Imec showcased a significant breakthrough in the field of silicon-based wavelength-division multiplexing (WDM) at the OFC Conference in San Diego. 

Industries
13th March 2024
Spain, Andalusia, and imec to set up 300mm chip R&D line

The Spanish government, together with the regional government of Andalusia and imec, a global research and innovation hub in nanoelectronics and digital technology, announced that they have signed a Memorandum of Understanding (MoU) outlining their intent to establish a specialised chip technology pilot line in Malaga (Andalusia).

Awards
7th March 2024
AMD’s Lisa Su honoured with the 2024 imec Innovation Award

Imec is delighted to announce Lisa Su, Chair and CEO of AMD, as the recipient of the 2024 imec Innovation Award.

Power
22nd February 2024
Imec debuts high-speed ADC, leads in efficiency

At this week’s IEEE International Solid-State Circuits Conference (IEEE ISSCC2024), imec, a global research and innovation hub in nanoelectronics and digital technologies, introduces a breakthrough architecture that lays the foundation for a whole new generation of analog-to-digital converters (ADCs)

Events News
20th February 2024
Ime’s resilient, low-power UWB receiver chip

At this week’s IEEE International Solid-State Circuits Conference (IEEE ISSCC2024), imec, a global research and innovation hub in nanoelectronics and digital technologies, presents a low-power ultra-wideband (UWB) receiver chip that is ten times more resilient against interference from Wi-Fi and (beyond) 5G signals than existing, state-of-the-art UWB devices.

Power
19th February 2024
Imec introduces compact wireless powering technology

Imec has introduced an ultrasound-based proof-of-concept for wireless powering of implantable devices, at this week’s International Solid-State Circuits Conference (IEEE ISSCC 2024). 

Memory
13th December 2023
imec achieves breakthrough in SOT-MRAM technology

This week, at the 2023 International Electron Devices Meeting (IEEE IEDM 2023), imec, a globally pioneering research and innovation hub in nanoelectronics and digital technologies, presents extremely scaled spin-orbit transfer magnetic random-access memory (SOT-MRAM) devices showing the best published performance ever.

Latest
11th December 2023
Imec presents a new way to render colours with sub-micron pixel sizes

This week at the 2023 International Electron Devices Meeting (IEEE IEDM 2023), imec, a global research and innovation hub in nanoelectronics and digital technologies, demonstrates a new method for faithfully splitting colours with sub-micron resolution using standard back-end-of-line processing on 300mm wafers.

News & Analysis
4th April 2023
Newly founded chip manufacturer joins imec’s Core Partner Programme

Imec, a global research and innovation hub in nanoelectronics and digital technologies, and Rapidus, Japan’s newly founded chip manufacturer, have announced that they have taken an important next step in setting up a long-term and sustainable collaboration in the domain of advanced semiconductor research, with Rapidus joining imec’s Core Partner Programme.

Eco Innovation
1st March 2023
Imec’s virtual fab underpins strategies to reduce carbon footprint

At the 2023 Advanced Lithography + Patterning Conference, imec presents a quantitative assessment of the environmental impact of patterning in advanced IC manufacturing.

Power
31st January 2023
Imec's co-integration of its SiN waveguide technology with its active silicon photonics platform

At an invited talk at SPIE Photonics West, San Francisco, imec announced that it demonstrated co-integration of its silicon nitride waveguide technology with its silicon photonics platform—without performance degradation of the high-bandwidth active devices. An important upgrade for their silicon photonics platform, the result enables the synthesis of high-quality wavelength-selective devices and other optical passive functions that benefit...

Medical
27th January 2023
Imec hyperspectral camera pave way for in-vivo detection of low-grade gliomas

At this week’s BiOS, the biomedical optics and biophotonics exhibition at SPIE Photonics West, imec – a global research and innovation hub in nanoelectronics and digital technologies – presents a promising path to the in-vivo detection of low-grade gliomas (a group of slow-growing brain tumours).

Sensors
26th January 2023
imec demonstrates combined VIS & NIR spectral camera system

At this week’s SPIE Photonics West, imec, a global research and innovation hub in nanoelectronics and digital technologies,  showcases their multi-sensor hyperspectral camera system that covers both the visual and red/near infrared spectral ranges, while coming with a high-resolution RGB sensor.

IoT
25th January 2023
Animal behaviour monitoring tool for research, conservation, and agriculture purposes

The University of Antwerp (UAntwerp), a dynamic, forward-thinking university aiming to contribute positively to society, and imec, a global research and innovation hub in nanoelectronics and digital technologies announce their new spin-off called IoSA (Internet of Small Animals).

First Previous Page 1 of 20 Next Last

Featured products

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier