Companies

IMEC

IMEC Articles

Displaying 81 - 100 of 393
Medical
5th June 2018
Organ-on-chip platform improves drug screening

Imec has presented an organ-on-chip platform for pharmacological studies with unprecedented signal quality. It fuses multi-electrode array (MEA)-chip with a microfluidic well plate, developed in collaboration with Micronit Microtechnologies, in which cells can be cultured, providing an environment that mimics human physiology. Capable of performing multiple tests in parallel, the device aims to be a game-changer for the pharmaceutical industry, o...

Renewables
4th June 2018
Screen-printing process for efficient n-PERT solar cells

Imec, within the partnership of EnergyVille, has announced that it has realised highly efficient n-PERT (Passivated Emitter and Rear Totally diffused) solar cells using an industry-compatible screen-printing process. Together with Jolywood, imec developed industry-compatible bifacial cells with an average front-side conversion efficiency of 21.9%. Based on this process, imec also demonstrated screen-printed monofacial n-PERT cells with a con...

Power
30th May 2018
Low power radio for IoT applications demonstrated with Arm

  At this year’s Bluetooth Asia event, imec will demonstrate a new ultra low power radio for IoT applications, with Arm (at booth 49). The radio combines imec’s latest transceiver frontend, operating from a nominal supply as low as 0.8V, with Arm’s qualified and silicon-proven Cordio Bluetooth low energy 5 digital baseband.

VR/AR
29th May 2018
Eye tracking technology enhances AR/VR experiences

At its Imec Technology Forum in Antwerp (ITF Belgium 2018), Imec announced a new wireless eye-tracking technology based on electro-oculography (EOG), an ophthalmology technique used to examine eyes and record eye movement.

Communications
24th May 2018
Benchmark with 30cm secure localisation solution based on Bluetooth

Imec has announced at its Imec Technology Forum, ITF2018, taking place in Antwerp on 23rd to 24th May, that it has developed a new secure proximity technology that enables an accuracy as good as 30cm. This IoT technology, that was developed by imec researchers in Holst Centre (the Netherlands) and researchers from COSIC, an imec lab at the University of Leuven (KU Leuven), sets a new benchmark in localisation accuracy using a standard Bluetooth r...

Renewables
25th April 2018
Making perovskite solar cells a market reality

Imec has been named the coordinator of an ambitious 3-year EU funded project, “ESPResSo” (Efficient Structures and Processes for Reliable Perovskite Solar Modules), that gathers known leaders in the field of perovskite PV technology to revolutionise Europe’s photovoltaics (PV) industry.

Renewables
10th April 2018
Could solid-state batteries power long range EVs?

Imec and its partner in EnergyVille have fabricated a type of solid-state Li-ion battery achieving an energy density of 200Wh/liter at a charging speed of 0.5C (2 hours). This battery is a milestone on the roadmap to surpass wet Li-ion battery performance and reach 1,000Wh/L at 2C by 2024. With this clear performance engineering path, imec’s battery technology is ready to become a contender to power tomorrow’s fast charging, long haul...

Power
9th April 2018
Paving the way to GaN power technology

Research and innovation hub in nanoelectronics and digital technologies, imec, and fabless technology innovator, Qromis, have announced the development of high performance enhancement mode p-GaN power devices on 200mm engineered Coefficient of Thermal Expansion (CTE)-matched substrates, processed in imec’s silicon pilot line. The substrates are offered by Qromis as commercial 200mm QST substrates as part of their patented product portfolio.

Power
13th February 2018
Ultra-low power transceiver suitable for Bluetooth 5

  A low-voltage (0.8V) ultra-low-power Bluetooth 5 transceiver for IoT applications has been announced by imec and Renesas Electronics at the 2018 International Solid-State Circuits Conference in San Francisco (US). The low supply voltage enables a longer battery life, with up to 50% improvement.

Optoelectronics
2nd February 2018
Ultrasonic camera enables speedy hyperspectral imaging acquisition

The research and innovation hub in nanoelectronics and digital technologies, imec, has announced that it will demonstrate at this week’s SPIE Photonics West in San Francisco its second generation high-speed SNAPSCAN hyperspectral imaging camera. The new hyperspectral camera uses an ultrasonic speed piezo motor stage and innovative software to enable the acquisition of high resolution hyperspectral images less than 200ms.

Medical
11th January 2018
Sweet like stress detection

Global research and innovation hub in nanoelectronics and digital technologies, imec, has announced that it has collected the largest multisensor dataset worldwide on stress detection. imec’s Stress in the Work Environment (SWEET) study captured data from more than 1,000 people and is the first large-scale study that used clinical-grade wearables to establish the link between mental stress and physiological symptoms in daily life.

Events News
9th January 2018
CES 2018: EEG headset measures emotions

At this year's Consumer Electronics Show (CES) which is currently taking place in Las Vegas, US until 12th January, imec and Holst Centre and TNO will demonstrate a prototype of an electroencephalogram (EEG) headset that can measure emotions and cognitive processes in the brain. The headset is a major breakthrough in emotion measurement for therapeutic, learning and gaming applications.

Passives
8th December 2017
Ga-doped Ge source/drain contacts achieve low contact resistivity

At the International Electron Devices Meeting (IEDM), imec reported ultralow contact resistivity of 5x10-10Ωcm2 on Gallium (Ga)-doped p-Germanium (Ge) source/drain contacts. The low contact resistivity and high level of Ga activation were achieved after nanosecond laser activation (NLA) at low thermal budget. The results show that highly Ga-doped Ge-rich source/drain contacts provide a promising route for suppressing parasitic source/drain resi...

Passives
6th December 2017
Heterogeneous sequential for advanced CMOS nodes

  At the 2017 International Electron Devices Meeting (IEDM), imec, research and innovation hub in nano-electronics and digital technology, presented the first Power-Performance-Area-Cost (PPAC) analysis of different sequential 3D-integration variants using advanced 5nm and 3nm CMOS technology nodes.

Medical
16th November 2017
Tiny neural probe records multiple brain regions at the same time

Imec has designed and fabricated a breakthrough neural probe for the parallel recording of hundreds of neural signals. The Neuropixels probe was developed for an international consortium consisting of Howard Hughes Medical Institute (HHMI), the Allen Institute for Brain Science, the Gatsby Charitable Foundation and Wellcome, with funding of $5.5 million.

Power
15th November 2017
Nanocomposite electrolyte designed for next-gen battery use

  At the Imec Technology Forum Japan, imec and Panasonic, announced that they have developed a solid nanocomposite electrolyte for next-gen batteries with a lithium ion conductivity several times greater than its liquid equivalent. The ion conductivity already reaches several mS/cm at room temperature.

Medical
4th October 2017
Neural probe to power brain-computer interfaces

Imec has announced at its Imec Technology Forum (ITF) Health event, that is has designed and fabricated a demonstrator of a neural probe with unprecedented electrode density within the framework Neuroseeker, a large-scale EU-project. Designed and fabricated in silicon chip technology, the probe is suitable for breakthrough bio-interfaces and implants that will shape the future of neuroscience.

Renewables
11th August 2017
PV module achieves 29.3% power conversion efficiency

Research and innovation hub in nanoelectronics, energy and digital technology, and partner in Solliance and EnergyVille, imec, has announced an improvement of its 4cm2 perovskite/silicon tandem photovoltaic module achieving a power conversion efficiency of 23.9%. Reaching this level, imec is the first to achieve a module-on-cell stack that outperforms the standalone silicon solar cell.

Power
21st June 2017
200 and 650V e-mode devices developed on 200mm Si wafers

Research and innovation hub in nano-electronics and digital technology, imec, has announced that it has developed 200 and 650V normally-off/enhancement mode (e-mode) on 200mm/8" GaN-on-Silicon wafers, achieving a very low dynamic Ron dispersion (below 20%) and performance and reproducibility. Stress tests have also shown a good device reliability. Imec’s technology is ready for prototyping, customised low-volume production as well as for te...

Events News
12th June 2017
Sub-10nm germanium GAA devices displayed at VLSI Symposia

New process improvements for next-gen devices were unveiled by imec at 2017 Symposia on VLSI Technology and Circuits. For the first time, scaled strained germanium p-channel Gate-All-Around (GAA) FETs were shown with sub-10nm diameter, integrated on a 300mm platform. In addition, the research centre has obtained a significant improvement in device performance and electrostatic control with high-pressure anneal (HPA) for both strained germani...

First Previous Page 5 of 20 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier