Events News

Imec at IEEE IITC/AMC 2015

12th May 2015
Jordan Mulcare
0

Imec presents ten papers and six posters at the IEEE Interconnect Technology Conference and Advanced Metallization Conference, 18th to 21st May 2015. The results, achieved in collaboration with its industrial partners, cover different domains of imec’s industrial affiliation progammes on advanced interconnects and 3D-IC technology.

The focus of imec’s R&D on advanced interconnects is technology scaling. When scaling toward 1x nm, on one hand imec aims at optimising conventional damascene interconnects. On the other hand for enabling N5 technology options and beyond other schemes than the conventional damascene can become relevant.

The company’s programme activities include materials, processes, integration, reliability and system aspects. Beyond 1x nm, new concepts and materials are envisioned as far-out options e.g. spin-based signaling scenarios and carbon-based interconnects are studied.

Imec’s R&D on 3D-IC technology explores technology options to define innovative solutions for cost-effective realisation of 3D interconnect with through-silicon-vias. Imec also explores 3D design to propose methodologies for critical design issues, enabling effective use of 3D interconnection on system level.

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier