Production

Synopsys unveils golden signoff ECO solution

5th October 2022
Sam Holland
0

To boost productivity and enhance power, performance and area (PPA) of advanced electronic designs, Synopsys, Inc. has announced the breakthrough golden signoff ECO solution that addresses lengthy engineering design closure times.

The Synopsys PrimeClosure solution combines Synopsys' leading ECO (engineering change order) signoff solutions, Synopsys PrimeECO and Synopsys Tweaker ECO, with breakthrough innovations, delivering the fastest ECO closure times with high capacity and golden Synopsys PrimeTime signoff accuracy. Early customers have achieved up to 45% better timing, up to 10% better power, up to 50% fewer ECO iterations and up to 10x higher design productivity compared to traditional ECO flows.

"Given our focus on large-scale, advanced-node designs in areas such as automotive, data centers, networking and smart devices, fast turnaround time on ECOs is essential to our business success," said Kenta Sokawa, general manager, Back End Development Division, Global Development Group at Socionext. "The Synopsys PrimeClosure solution reduced our turnaround time by over five times, used three times less machine memory and required five times fewer machine resources.

"The early results are impressive, and we are excited to collaborate with Synopsys to push the envelope on conventional ECO challenges with the aim of achieving an over 10 times design closure productivity improvement for our large designs."

The Synopsys PrimeClosure solution is available to early adopters now with general availability targeted for December 2022. More information can be found at Synopsys' design implementation and signoff page here.

Accelerating design convergence

Application areas like data centers, mobile, automotive, AI and IoT are demanding aggressive PPA targets. Advanced process nodes bring new physical rules and introduce new effects which impact PPA. The enormous size and complexity of deep-submicron designs means that every analysis and ECO optimisation run to fix issues takes longer and consumes more compute resources. Handling this large number of violations and driving convergence to reduce it to zero is a big part of the ECO challenge.

The Synopsys PrimeClosure solution, with its innovative surgical optimization feature, improves design metrics like PPA, timing, clock network, voltage drop, variation and aging. The solution is tightly integrated with Synopsys Fusion Compiler™ RTL-to-GDSII solution and Synopsys PrimeTime static timing analysis solution for golden signoff accuracy, delivering a full flow that accelerates design convergence and time-to-market of large designs.

With its novel gigachip hierarchical technology, the Synopsys PrimeClosure solution seamlessly scales designs with billion+ instances and hundreds of scenarios with a relatively small number of machines to deliver the industry's fastest turnaround time (TAT). Its optimised pruning technology efficiently sifts through thousands of scenarios and hundreds of hierarchical blocks to reduce the number of datasets for optimization, resulting in TAT speedup by over 40% and reduced memory by up to 60%.

Optimising last-mile advanced chip design closure

Last-mile design optimisation is critical to achieve optimal PPA. The Synopsys PrimeClosure solution has direct access to incrementally enabled placement, routing, extraction, physical verification, equivalence checking and signoff technologies from the market-leading Synopsys Digital Design Family.

The Synopsys PrimeClosure solution is integrated with Ansys RedHawk-SC digital power integrity signoff solution, enabling a breakthrough automated late-stage golden signoff timing-aware ECO solution to accurately account for and fix up to 50% of late-stage dynamic voltage drop violations and maximize energy efficiency without impacting chip timing. The single-environment design closure cockpit ensures every change is fully implemented and validated and creates new opportunities for placement, routing, and timing co-optimisation to achieve PPA results previously impossible in traditional design closure flows.

"Scaling productivity in hyperconvergent designs requires innovative solutions that can quickly and efficiently optimize PPA targets in very large design spaces," said Jacob Avidan, senior vice president of Engineering for the Silicon Realization Group at Synopsys. "The Synopsys PrimeClosure product provides the industry with a breakthrough golden signoff ECO solution that enables designers to confidently achieve the fastest path to design closure, so companies can do more in significantly less time."

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier