Design
SMIC & Synopsys deliver 28nm HKMG low-power reference flow
Semiconductor Manufacturing International Corporation and Synopsys has announced immediate availability of their joint 28nm RTL-to-GDSII reference design flow. Developed through deep engineering collaboration between Synopsys and SMIC on the 28-nm High-K Metal Gate (HKMG) process technology, the flow is based on Synopsys' Galaxy Design Platform using key features from the IC Compiler II place and route solution, Design Compiler Graphical synthesi...
Embedded software tracing tool now available to IntervalZero customers
IntervalZero, makers of the Windows-based real-time operating system, RTX64, are utilising the Tracealyzer RTOS visualisation tool from Percepio.
IP Solution enables servers to solve computation problems faster
Synopsys has announced a suite of features for its 3200 Mbps DesignWare DDR4 IP to expand memory capacity for high-performance cloud computing systems while improving reliability, accessibility and serviceability (RAS). The DDR IP supports advanced error correcting code (ECC), which can correct all DRAM failures within a device to enable replacement of defective DIMMs without data loss.
Cross line generator suits machine vision
EVT now offers a complete solution with the cross line generator. It is available with a mini-PC with the software function to draw a cross line as an overlay into the live image. With the interfaces of the cross line generator the user can connect USB and GigE cameras to the systen. For the user a process mode can be created, which means that the user only has to click on the „start“ and „stop“ buttons to run the program ...
Tanner Calibre One & Mentor ARM partner on DesignStart
Mentor Graphics has announced the Tanner Calibre One IC verification suite as an integral part of the Tanner analogue/mixed-signal (AMS) physical design environment, creating an easy path to the proven capabilities of Calibre verification tools for Tanner EDA’s user base. This results in a dramatically-improved IC design and verification solution for Tanner customers by providing tightly-integrated access to Calibre’s physical and cir...
AI-utilisation platform aids design & manufacturing
Fujitsu has announced the addition of an expert consulting service for the use of AI technology at design and manufacturing sites to its MONOZUKURI Total Support Solutions, which support customer manufacturing. This service will be available in Japan starting October 2016. As its platform, the service will use the MONOZUKURI AI Framework to systemise functions for incorporating AI into manufacturing locations.
Cadence & SMIC collaborate
Cadence Design Systems has announced a collaboration with Semiconductor Manufacturing International Corporation (SMIC) on the delivery of a 28nm design reference flow that incorporates a full suite of Cadence digital products for low-power design. This reference flow is a 28nm low-power register-transfer level (RTL) to signoff flow based on the IEEE 1801 low power design and verification standard.
Design Library makes battery design easier than ever before
VARTA Storage, the manufacturer of lithium-ion battery packs and of solar energy-storage appliances, has announced the introduction of its new VARTA Design Library, a comprehensive downloadable development resource for users of its CellPac LITE range of ready-made rechargeable lithium-ion battery packs.
16x16 LED display reference design speeds prototyping
The MAXREFDES99# 16x16 display reference design from Maxim Integrated Products provides hobbyists and makers with greater flexibility and more options when developing LED signs. LEDs continue to dramatically transform signage and lighting. However, often LED displays today are 8x8 and cannot communicate many international alpha-numeric characters.
sureCore delivers 40nmULP memory compiler
sureCore Ltd., the low power SRAM IP leader, has announced the immediate availability of its TSMC 40nmULP process technology memory compiler. The new compiler facilitates utilisation of sureCore's recently announced 40nm Ultra Low Voltage SRAM IP that effectively operates at a record-setting 0.6V across process voltage and temperature.