Design

Accelerate design for next-gen, high-capacity FPGAs

10th May 2016
Nat Bowers
0

Altera, now part of Intel, has announced the Quartus Prime Pro design software, which further accelerates FPGA design performance and design team productivity. The software is architected to support the next-gen high capacity, highly integrated FPGAs from Intel, which will drive innovation across the cloud, data centre, IoT and the networks that connect them.

The capabilities built into the latest software release accelerate large FPGA design flows by delivering unprecedented compile time improvements, versatile design entry methods and simplified IP integration.

Bernhard Friebe, Director of FPGA software and IP marketing, Intel, commented: “Quartus Prime Pro design software builds upon decades of software innovations that help to shorten FPGA design cycles and make our tools easier to use. The software tools, IP cores and design entry methods that we offer will broaden and strengthen Intel’s FPGA user base, while significantly increasing designer productivity and enhancing the overall customer experience.”

The Quartus Prime Pro software v16.0 delivers a design methodology that is optimised for large designs with more than one million logic elements. At the same time, users can leverage the software’s incremental optimisation feature to reduce design iterations and accelerate timing closure.

The Quartus Prime design software provides users access to an expanding selection of IP cores. These IP cores, in combination with numerous IP usability enhancements, help to improve designer productivity by simplifying IP evaluation, IP selection and hardware verification. New Ethernet, Hybrid Memory Cube memory and Video IP cores extend the comprehensive suite of high-performance, low-latency IP cores for industry-standard protocols.

The production release of the Quartus Prime design software v16.0 is now available for download at Altera's eStore. The software is available in three editions (Pro, Standard and Lite), depending on your target FPGA. The Quartus Prime Pro and Quartus Prime Standard editions come with the ModelSim-Altera Starter edition software and a full license to the IP Base Suite. The annual software license is $3,995 for a node-locked PC license for the Quartus Prime Pro Edition and is available for purchase. The Quartus Prime Lite edition is available as a free download at Altera's eStore.

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier