Companies

Nordson MARCH

Nordson MARCH Articles

Displaying 1 - 12 of 12
Component Management
5th February 2018
Plasma systems enable high processing for 3D and wafer-level assembly

Nordson MARCH has introduced the MesoSPHERE Plasma System for very-high throughput processing of 3D and wafer-level packaging processes such as fan-in, fan-out, wafer-level, and panel-level -handling wafers up to 450mm and panels up to 480mm. The MesoSPHERE's new, patented W3 three-axis symmetrical plasma chamber ensures that all areas of the wafer are treated equally and uniformly. Tight control over all process parameters gives highly repeatabl...

Component Management
5th May 2016
Plasma System Increases Machine Capacity

Nordson MARCH has introduced its ModVIA plasma system, a fully integrated, flexible system that doubles its capacity from 4 to 8 cells (8-16 panels) to easily accommodate manufacturing production growth. The ModVIA's compact design and small footprint save valuable floor space while delivering the same time-tested results and proven technology to treat printed circuit boards for desmear, etchback, and to provide surface activation, like other sys...

Component Management
2nd March 2016
White Paper evaluates the effects of RF Plasma Processing Prior to Conformal Coating

Nordson MARCH has recently released a white paper showing the results of RF plasma processing on conformal coating adhesion, the conformity of the coating coverage, and the resulting effects on electrical functionality of a fully assembled printed circuit board. The research was done in conjunction with Nordson ASYMTEK, AirBorn Electronics, and SMART Microsystems (formerly known as Desich SMART Center). 

Component Management
20th August 2015
Plasma treatment system expands up to six chambers

  Nordson MARCH announces that its StratoSPHERE Series plasma treatment equipment for wafer-level packaging and 3D packaging applications can now be configured in two, four, and even six chamber configurations for increased throughput and flexibility.

Component Management
2nd July 2015
Plasma systems for wafer-level and 3D packaging applications

Nordson MARCH announces its recent SPHERE series plasma systems for wafer-level and 3D packaging applications. Based on Nordson MARCH's TRAK Series, the series consists of the TrophoSPHERE and StratoSPHERE plasma treatment systems. The systems perform descum, ashing (photoresist/polymer stripping), dielectric etch, wafer bumping, organic contamination removal and wafer destress.

Events News
29th April 2015
Free plasma treatment technical consulting offered

Nordson MARCH is offering free technical consulting on how plasma treatment systems reduce premature device failures in the field and details ways your company can improve its manufacturing process through plasma cleaning. Nordson MARCH experts will be at the DAGE Deutschland stand 6-314 at SMT Hybrid Packaging 2015, being held in Nuremberg, Germany.

Component Management
1st July 2014
Plasma treatment of PCBs improves coating adhesion

Nordson MARCH has revealed that recent studies have indicated that Printed Circuit Boards (PCBs) feature improved coating adhesion when plasma treated prior to conformal coating. Enhancing surface wettability, the plasma treatment improved adhesion of the conformal coating to high-performance solder mask materials and other difficult-to-adhere-to substrates.

Component Management
29th May 2014
Plasma processing for flexible electronic PCBs & substrates

Enabling a rate of as much as 200 units per hour (UPH) for the manufacture of flexible electronic PCBs and substrates, Nordson MARCH introduces its FlexVIA-Plus Plasma Treatment System. The system delivers single-stage plasma processing - including etchback and desmear - of up to 30 panels (panel size 500x813mm or 20x32") per cycle.

Component Management
7th April 2014
System for plasma treatment prior to die attach

Offering double the capacity and throughput of its predecessor in just 16% more floor space, the FlexTRAK-CDS plasma system has been announced by Nordson MARCH. This high-volume, compact platform with strip processing capabilities is designed for plasma treatment prior to die attach, wire bond, mold encapsulation and underfill.

Analysis
15th November 2012
Jonathan Doan Joins Nordson MARCH as Director of Marketing

Nordson MARCH, a global leader in plasma processing technology, announces the appointment of Jonathan Doan to the position of director of marketing. Doan will drive the product roadmap and develop new marketing programs to promote Nordson MARCH's advanced plasma treatment systems for the semiconductor, printed circuit board, life science, hard disk drive, LED, and solar/photovoltaic industries.

Analysis
10th July 2012
Nordson MARCH Introduces Fully Automated Plasma Treatment System that Lowers the Handling Risk to Sensitive Substrate Materials

Nordson MARCH introduces the new FasTRAK Plasma System, a fully-automated, high-throughput, vacuum plasma treatment system for lead-frame strips, laminate substrates, and other strip-type microelectronic components. Using state-of-the-art robotic movement that virtually eliminates operator handling of the strips or magazines, the FasTRAK Plasma System accommodates the full known range of magazines and strip width, length, and thickness dimensions...

Analysis
20th April 2012
Nordson MARCH Plasma System Technology Displayed at SEMICON Singapore Booth #502

Nordson MARCH will display its FlexTRAK highly configurable, high-throughput plasma treatment and AP-Series vacuum plasma treatment systems at SEMICON Singapore 2012, booth #502. Plasma performs numerous surface modification processes including surface activation, contamination removal, cross linking, etch by chemical reaction, and physical bombardment for semiconductor, hard disk drive, LED, printed circuit board, medical, and photovoltaic/solar...

First Previous Page 1 of 1 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier