Companies

IMEC

IMEC Articles

Displaying 341 - 360 of 393
Analysis
8th February 2011
Imec and Holst Centre bring brain wave monitoring to the home

At this week’s Medical Device & Manufacturing conference and exhibition in Anaheim, imec and Holst Centre present breakthroughs in enabling technologies for wireless EEG (electroencephalogram) systems enabling continuous ambulatory monitoring. The demonstrated EEG headset is compatible with dry electrodes and combines ease-of-use with ultra-low power electronics. The prototype headset records high quality EEG signals and wirelessly transmits th...

Design
2nd February 2011
Imec and Coventor combine leading expertise in IC and MEMS design and manufacturing

Coventor and the nanoelectronics research center imec (www.imec.be), today announced a strategic partnership to improve and expand the use of advanced design and manufacturing techniques for the development of CMOS integrated MEMS. The partnership includes strategic alignment on R&D roadmaps and collaboration on advanced research topics. Teams of imec and Coventor will collaborate on expanding imec’s use of Coventor’s software tools suite fo...

Analysis
31st January 2011
KACST-INTEL CENA kicks off research at imec

King Abdulaziz City of Science and Technology (KACST) signed agreement today with imec, a world-leading nanoelectronics research center headquartered in Belgium, to host researchers from KACST-INTEL consortium Center of Excellence in Nano-manufacturing Applications (CENA) at imec facilities in Belgium starting September 2011 for a period of three years. CENA focuses on leading-edge research on advanced nano-processing and fabrication technology, ...

Analysis
25th January 2011
Imec launches new research program on high-bandwidth optical I/O

Imec announces the launch of a new industrial affiliation program on high-bandwidth optical input/output (I/O). The primary objective of the new program, which is part of imec’s research platform on deep-submicron CMOS scaling, is to explore the use of optical solutions for realizing high-bandwidth I/O between CMOS chips.

Analysis
20th January 2011
Dow Corning Joins the imec GaN Affiliation Program

Dow Corning has formalized an agreement to enter the imec multi-partner industrial R&D program on GaN semiconductor materials and device technologies. The program focuses on the development of the next generation GaN power devices and LEDs. The collaboration between Dow Corning and imec will concentrate on bringing the GaN epi-technology on silicon wafers to a manufacturing scale.

Analysis
12th January 2011
EU-funded SYNAPTIC project claims advances in regularity

An EDA industry consortium, supported by the European Union’s Seventh Framework Programme, reports tangible progress after the first year of the three-year project. The SYNAPTIC research project includes eight partner organisations from across Europe who have joined forces to develop new microprocessor design methods and related EDA tools. The goal is to reduce limitations in physical implementation effectiveness associated with technology scal...

Tech Videos
17th December 2010
Neurons on chip

Imec develops interfacing technologies that can interact both at the individual cell level and at the level of complex cellular networks, tissues or organs. This will enable novel medical therapies and pharmaceutical treatments in areas such as neurology, cardiology or oncology.

Analysis
9th December 2010
Breakthrough towards lab-on-chip system for fast detection of single nucleotide variations in DNA

Panasonic, core partner within imec’s Human++ program and imec today present at the International Electron Devices Meeting in San Francisco various critical components of a biomedical lab-on-chip sensor enabling fast detection of Single Nucleotide Polymorphisms (SNPs) in DNA, such as a miniaturized pump for on-chip generation of high pressures, a micropillar filter optimized for DNA separation achieving world-record resolution, and a SNP detect...

Analysis
8th December 2010
Panasonic and imec present thin film packaged MEMS resonator with industry record Q factor and low bias voltage

Panasonic and imec present at the International Electron Devices Meeting in San Francisco an innovative SiGe (silicon germanium) thin film packaged SOI-based MEMS resonator featuring an industry-record Q factor combined with a low bias voltage. The high Q factor was achieved by implementing a resonator that operates in a torsional vibration mode, and, by vacuum encapsulation of the resonator in a thin film package. This groundbreaking resonator p...

Analysis
6th December 2010
Imec reports progress in deep sub-micron scaling for logic and memory

At the International Electron Devices Meeting in San Francisco imec’s advanced CMOS research program reports promising advances in scaling logic, DRAM and non-volatile memory. A new device based on non-silicon channels was realized to scale high-performance logic towards the sub-20nm node. Moreover, imec developed low-leakage capacitors allowing DRAM to be pushed to the 2x nm node. And the switching mechanism of resistive RAM for next-generatio...

Analysis
17th November 2010
Innovative neural probe senses and stimulates individual brain cells

Imec, together with its partners within the European FP6 Program NeuroProbes, has created a new neural probe enabling electrical and chemical recording and stimulation of single neurons in the brain. Applications of the new technology are vast, ranging from tools for fundamental research on the functioning of the brain, to instruments for more precise diagnosis of brain seizures before brain-surgery.

Analysis
17th November 2010
Imec and PEIRA develop platform for in-vitro study of brain tissue

The nanoelectronics research centre imec and Peira, a Belgium-based manufacturer of pharmaceutical and chemical research instruments, jointly developed an innovative slice-tilting instrument for in-vitro research on brain tissue. The new tool enables long-term studying of brain cultures through electronic stimulation and read-out, essential in getting insight into the functioning of the brain.

Analysis
3rd November 2010
European effort to bring smart textile at industrial manufacturing level

Imec and its project partners announced the launch of the European FP7 (Framework Program) project PASTA (Integrating Platform for Advanced Smart textile Applications) aiming at developing large-area smart textiles. Large-area manufacturability is an essential aspect in bridging the gap between lab prototyping and the industrial manufacturing of smart textiles for sports and leisure wear, technical textiles for safety and monitoring applications,...

Analysis
20th October 2010
Imec sets up R&D activity in Taiwan

Imec Taiwan today signed the co-funding contract with the Taiwanese Ministry of Economic Affairs (MOEA) for its R&D activity Imec Taiwan Innovation Centre (ITIC). ITIC’s goal is to expedite applied research projects with industry and academia that will result in electronic designs, components and technology solutions. The new R&D centre will focus on a variety of innovative applications in bioelectronics, MEMS and “green” electronics that a...

Tech Videos
5th October 2010
Monitoring your health with your mobile phone -Video

Imec and Holst Centre, together with TASS software professionals have developed a mobile heart monitoring system that allows to view your electrocardiogram on an Android mobile phone. The innovation is a low-power interface that transmits signals from a wireless ECG (electrocardiogram or heart monitoring)-sensor system to an android mobile phone. With this interface, imec, Holst Centre and TASS are the first to demonstrate a complete Body Area Ne...

Analysis
5th October 2010
Monitoring health with a mobile phone

Imec and Holst Centre, together with TASS software professionals have developed a mobile heart monitoring system that allows to view your electrocardiogram on an Android mobile phone. The innovation is a low-power interface that transmits signals from a wireless ECG (electrocardiogram or heart monitoring)-sensor system to an android mobile phone. With this interface, imec, Holst Centre and TASS are the first to demonstrate a complete Body Area Ne...

Analysis
24th September 2010
UGent and imec launch silicon photonics spin-off Caliopa

Ghent University (UGent) and imec today announced the creation of Caliopa, a spin-off from their world leading Photonics Research Group. An initial 2 million Euro in funding was raised from Baekeland, Fidimec, PMV-Vinnof, a private investor and the founders. Caliopa will develop and market advanced silicon photonics based optical transceivers for the data and telecommunications markets.

Analysis
21st September 2010
European project solves variability issues of designing in deep submicron IC technology

REALITY, a European funded initiative on Design for Variability, has just finished its project mission. In about its 2.5 years lifespan REALITY has focused on developing industrially relevant innovative design techniques, methods, and flows for the design and analysis of energy-efficient self-adaptive System-on-Chips (SoCs). The tackled challenges include benchmarking the impact of the latest 32nm CMOS process manufacturing variability at all abs...

Pending
6th September 2010
Imec reports large-area silicon solar cells with high efficiency

At the 25th European Photovoltaic Solar Energy Conference (Valencia, Spain), imec presents several large-area silicon solar cells with a conversion efficiency above 19%. Two types of cells were realized namely with Ag-screenprinted contacts and plated Cu-contacts. Efficiencies of cells with screenprinted contacts were up to 19.1% whereas 19.4% was obtained with Cu-plated contacts. These high efficiencies were obtained thanks to several factors am...

Analysis
1st September 2010
European collaborative research to develop lab-on-chip system for cheap and fast cancer diagnosis

Today, at the Engineering in Medicine and Biology Conference (EMBC) in Buenos Aires (Argentina), imec and its project partners announce the launch of the European Seventh Framework Project MIRACLE. The MIRACLE project aims at developing an operational lab-on-chip for the isolation and detection of circulating and disseminated tumor cells (CTCs and DTCs) in blood. The new lab-on-chip is an essential step towards faster and cost-efficient diagnosis...

First Previous Page 18 of 20 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier