Companies

CEA / Leti

CEA / Leti Articles

Displaying 81 - 100 of 110
Sensors
27th July 2015
Artificial pancreas to improve treatment for Type 1 diabetes

CEA-Leti and Diabeloop today announced their joint lab to develop an artificial pancreas to improve treatment for Type 1 diabetes patients. The joint lab builds on Leti and Diabeloop’s earlier three-year research project that included clinical trials in hospitals and demonstrated the promise of a closed-loop system for treating diabetes.

Analysis
17th July 2015
Programme to demonstrate the benefits of nano-imprint technology

CEA-Leti and EV Group have launched a new programme in Nano-Imprint Lithography (NIL) called INSPIRE to demonstrate the benefits of the versatile, powerful nano-patterning technology and spread its use for applications beyond semiconductors.

Analysis
14th July 2015
Better area, speed & power trade-offs with CoolCube technology

CEA-Leti today announced its first results towards the demonstration of CoolCube’s feasibility in FinFET technology on its 300mm production line and new CoolCube circuit designs that improve the trade off between area, speed and power. Key process steps developed on 300mm wafers show progress in closing the gap between the demonstration of a single device and taking the technology to fabrication.

Events News
17th June 2015
Workshop covers trends in fully depleted silicon-on-insulator process

A workshop on major trends in fully depleted silicon-on-insulator process and design technologies will be hosted by CEA-Leti in connection with the 17th annual LetiDays Grenoble on the 24th and 25th of June.

Events News
11th June 2015
Workshop looks at innovative memory technologies

CEA-Leti is hosting its seventh workshop on innovative memory technologies, following the 17th annual LetiDays Grenoble on the 24th and 25th of June at the Minatec campus. Topics at LetiWorkshop Memory on the 26th of June will range from short-term to long-term memory solutions.

Analysis
8th June 2015
IC development programme receives seven major subscriptions

CEA-Leti has announced that seven partners have joined its FD-SOI IC development programme, Silicon Impulse, launched to provide a comprehensive IC technology platform that offers IC design, advanced intellectual property, emulator and test services along with industrial Multi-Project Wafer (MPW) shuttles.

Optoelectronics
4th June 2015
Fabrication pathway for high-density micro-LED arrays showcased

CEA-Leti has demonstrated a path to fabricating high-density micro-LED arrays for next-gen wearable and nomadic systems in a process that is scalable to IC manufacturing. High-brightness enhanced-vision head-up displays (HUDs) can improve safety and performance in the aerospace and automotive fields, where the displays place key navigation data and information in a driver or pilot's line of sight.

Analysis
7th May 2015
Joint research laboratory to develop the latest technology

A signed partnership agreement creating a joint research laboratory has been agreed by Genes'Ink and the French Alternative Energies and Atomic Energy Commission (CEA) through the Laboratory of Innovation in Surface Chemistry and Nanoscience’s (LICSeN) of the UMR NIMBE (Nanoscience’s and Innovation for Materials, Biomedicine and Energy).

Analysis
30th March 2015
Design centres to help develop products in IoT market

CEA-Leti have announced that 15 of their partners, have set up the goals of their collaborative project to establish a network of design centres to help SMEs and large companies develop innovative products for emerging IoT markets. The group will use proven CPS platforms and working with research technology organisations or technology transfer-oriented university institutes, who cluster a wide spectrum of technical and application knowledge to su...

Test & Measurement
12th March 2015
Updated model for UTBB-FDSOI technology is more accurate

CEA-Leti today announced that the newest version of its advanced compact model for UTBB-FDSOI technology is now available in all major SPICE simulators. Leti-UTSOI2.1 improves the predictability and accuracy capabilities of the Leti-UTSOI2 compact model, which was developed in 2013 to describe the electrical behaviour of FDSOI transistors by taking into account all their specificities. 

Design
12th March 2015
FD-SOI heterogeneous design becomes a reality for the IoT

CEA-Leti today announced the launch of its Silicon Impulse IC design competence centre, a comprehensive IC technology platform offering IC design, advanced intellectual property, emulator and test services along with industrial multi-project wafer shuttles. Established to increase the competitiveness of Leti’s industrial partners, the centre provides immediate access to Leti’s and CEA-List’s advanced IC technologies and systems ...

Events News
6th March 2015
CEA-Leti to present silicon successes at OFC 2015

At OFC 2015, which takes place from 22nd to 26th March in Los Angeles, CEA-Leti will present updates on its silicon photonics technology, including its results on ‘Heterogeneously integrated III-V on silicon distributed feedback lasers at 1310nm’ and hybridisation of electronic and photonic ICs.

Events News
19th November 2014
Leti to present 17 papers at 2014 IEEE IEDM

CEA-Leti will present 17 papers at the 2014 IEEE IEDM (International Electron Devices Meeting), 15th to 17th December in San Francisco. The total is the most ever for Leti and includes four invited papers.

Sensors
16th October 2014
IRLYNX and CEA-Leti to Streamline New CMOS-based Infrared Sensing Modules Dedicated to Human-activities Characterization

IRLYNX and CEA-Leti announced they have launched a technology-development partnership for a new CMOS-based infrared technology that will allow a new type of smart and connected detectors in buildings and cities.

Events News
16th April 2014
Development, challenges and markets for the IoT

At LetiDays Grenoble, June 25-26 2014, industry leaders, research experts and analysts will present multiple perspectives on the development, challenges and markets for the Internet of Things (IoT).

Memory
11th March 2014
Split-gate memory Cell boasts 16nm gate length

CEA-Leti has fabricated ultra-scaled split-gate memories with gate length of 16nm and demonstrated their functionality, showing good writing and erasing performances with memory windows over 6V. The devices provide several benefits especially for contactless memory applications, such as enlargement of the memory window and increased functionality. Also because of an optimised fabrication step, the devices allow better control of spacer memor...

Analysis
12th February 2014
Leti and Partners in PIEZOMAT Project Target New Fingerprint Technology for Highly Reliable Security and ID Applications

CEA-Leti announced the launch of PIEZOMAT, a research project funded by the European Commission to design and implement a new technology of fingerprint sensor that enables ultra-high resolution reconstruction of the smallest features of human fingerprints. 

Design
9th December 2013
Leti-UTSOI2 available in all major SPICE simulators

Leti-UTSOI2, the first complete compact model that enlarges the physically described bias range for designers, is now available in all major SPICE simulators. Accounting for back interface inversion in ultra-thin body & box (UTBB) transistors, the updated model maintains a formal symmetry between front and back interface in all equations of the core model.  

Analysis
26th November 2013
Magnetometer to expand understanding of Earth’s magnetic field

CEA-Leti has revealed that its next-generation magnetometer technology was today launched into space on board the European Space Agency’s three Swarm satellites. Collecting data over a four-year period, this mission will make it possible to distinguish between the various sources of the magnetic field (the Earth’s core, mantle, crust and oceans, as well as the ionosphere and magnetosphere) for the first time.

Analysis
24th July 2013
CEA-Leti join Japanese companies in ClouT project

CEA-Leti reveals that a group of European and Japanese companies, research institutes, universities and cities will work together in the ClouT project to deliver ways for cities to leverage the Internet of Things and cloud computing, to become smart cities. ClouT will develop infrastructure, services, tools and applications for municipalities and their various stakeholders, including citizens, service developers and application integrators, which...

First Previous Page 5 of 6 Next Last

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier