Design
Connecting your product to an iPhone app: a simple guide
So you need to extend your product to interface with an iPhone? There are a few technologies you can use to achieve this, so it’s important that you make the right choice. In this article we will discuss the main technologies that you can use to wirelessly connect your product with an iPhone. In future articles we will go into greater detail on how to use each communication method in an iPhone app.
Take control of OS X Storage with hard disk manager for Mac
Paragon Software Group (PSG) announces the release of Paragon Hard Disk Manager for Mac, a powerful tool to protect, maintain, and manage your OS X system. This unique software tool covers all aspects of a Mac’s computer life cycle, from drive partitioning and regular backup to system migration, flexible disaster recovery options, and secure wiping of recycled storage.
Power quality issues can be resolved more quickly with software
A major new release of the Pronto power quality software package from Outram Research makes it possible to find and evaluate power supply issues, report to the standards and solve customer complaints even more quickly. The Windows-based program is used to extract data from Outram's Power Master family of power quality analysers and display it in a clear and functional format for analysis.
Hypervisor makes efficient use of Imagination’s MIPS CPUs
The latest release of the open source L4Re hypervisor, maintained by Kernkonzept, now supports Imagination’s OmniShield ready MIPS CPUs. The small footprint L4Re hypervisor can take advantage of the hardware virtualisation technology in MIPS CPUs for more efficient context switching and better use of CPU cycles, leading to improved application headroom.
SMIC & Synopsys deliver 28nm HKMG low-power reference flow
Semiconductor Manufacturing International Corporation and Synopsys has announced immediate availability of their joint 28nm RTL-to-GDSII reference design flow. Developed through deep engineering collaboration between Synopsys and SMIC on the 28-nm High-K Metal Gate (HKMG) process technology, the flow is based on Synopsys' Galaxy Design Platform using key features from the IC Compiler II place and route solution, Design Compiler Graphical synthesi...
Embedded software tracing tool now available to IntervalZero customers
IntervalZero, makers of the Windows-based real-time operating system, RTX64, are utilising the Tracealyzer RTOS visualisation tool from Percepio.
IP Solution enables servers to solve computation problems faster
Synopsys has announced a suite of features for its 3200 Mbps DesignWare DDR4 IP to expand memory capacity for high-performance cloud computing systems while improving reliability, accessibility and serviceability (RAS). The DDR IP supports advanced error correcting code (ECC), which can correct all DRAM failures within a device to enable replacement of defective DIMMs without data loss.
Cross line generator suits machine vision
EVT now offers a complete solution with the cross line generator. It is available with a mini-PC with the software function to draw a cross line as an overlay into the live image. With the interfaces of the cross line generator the user can connect USB and GigE cameras to the systen. For the user a process mode can be created, which means that the user only has to click on the „start“ and „stop“ buttons to run the program ...
Tanner Calibre One & Mentor ARM partner on DesignStart
Mentor Graphics has announced the Tanner Calibre One IC verification suite as an integral part of the Tanner analogue/mixed-signal (AMS) physical design environment, creating an easy path to the proven capabilities of Calibre verification tools for Tanner EDA’s user base. This results in a dramatically-improved IC design and verification solution for Tanner customers by providing tightly-integrated access to Calibre’s physical and cir...
AI-utilisation platform aids design & manufacturing
Fujitsu has announced the addition of an expert consulting service for the use of AI technology at design and manufacturing sites to its MONOZUKURI Total Support Solutions, which support customer manufacturing. This service will be available in Japan starting October 2016. As its platform, the service will use the MONOZUKURI AI Framework to systemise functions for incorporating AI into manufacturing locations.